大規模ファイルのバイナリ形式での出力

大規模計算では、大規模テキスト形式ファイル(例えば、cubeファイル)が生成されます。 このようなファイルを出力するためのIOアクセスは、IOアクセスが高速でない計算機では、 長時間を要します。そのような場合には、大規模ファイルをバイナリ形式で出力することを お勧めします。バイナリ形式での出力はキーワード「OutData.bin.flag」を指定することで実行可能です。

   OutData.bin.flag    on    # default=off, on|off
これにより、大規模ファイルは全てバイナリ形式で出力されます。デフォルトは「off」です。

出力されたバイナリファイルは、ディレクトリ「source」内のプログラム「bin2txt.c」を使用して変換されます。 このプログラムは次のようにして、コンパイルされます。

  gcc bin2txt.c -lm -o bin2txt
後処理として、以下のように実行すればバイナリ形式からテキスト形式に変換されます。
  ./bin2txt *.bin
この機能はIOアクセスが高速でない計算機では有用でしょう。



2017-03-07